Welcome![Sign In][Sign Up]
Location:
Search - eeprom vhdl

Search list

[SCMMSP430_i2c

Description: 用C写的I2C总线EEPROM读写程序,在MSP430+AT24C64~512平台上验证通过。对其他处理器只需简单修改即可使用。-C write I2C EEPROM read and write procedures, the AT24C64 MSP430+ ~ 512 platform validation through. The only other processor can use a simple amendment.
Platform: | Size: 1024 | Author: join | Hits:

[VHDL-FPGA-Verilogvhdl_i2c

Description: 7. IIC 接口EEPROM 存取实验 按动开发板键盘某个键CPLD 将拨码开关的数据写入EEPROM 的某个地址,按动另 外一个键,将刚写入的数据读回CPLD,并在数码管上显示。帮助读者掌握I2C 的总线协 议和EEPROM 的读写方法。-7. IIC EEPROM Access Interface Development Board experimental pressed a button keyboard CPLD code will go into the data switch E EPROM a certain address, pressed another button, just write the data back to reading CPLD, and the digital pipe show. To help readers master the I2C bus protocol and EEPROM read and write methods.
Platform: | Size: 419840 | Author: 赵海东 | Hits:

[Other Embeded programIIC-EEPROM

Description: 用verilog实现了IIC接口与EEPROM存储器的接口设计,非常实用-Using Verilog realize the IIC interface with the EEPROM memory interface design, very useful
Platform: | Size: 9216 | Author: zhangyanbo | Hits:

[VHDL-FPGA-Verilogwb_i2c_tb

Description: 模拟I2C EEPROM的VHDL代码。如常见的24c02等。-I2C EEPROM simulation of VHDL code. If common, such as 24C02.
Platform: | Size: 8192 | Author: zif zhu | Hits:

[VHDL-FPGA-Verilogeeprom

Description: eeprom的Verilog HDL源代码,含eeprom的读写!Quartus II5.0平台测试通过!-EEPROM of the Verilog HDL source code, including reading and writing EEPROM! Quartus II5.0 platform test!
Platform: | Size: 521216 | Author: | Hits:

[VHDL-FPGA-VerilogEEPROM

Description: VHDL语言写的IIC实现EEPROM,很好的程序,已经用过,没有问题-Written in VHDL language IIC achieve EEPROM, good procedures are used, there is no problem
Platform: | Size: 1049600 | Author: 云川 | Hits:

[VHDL-FPGA-Verilogi2cEEPROM

Description: 使用VHDL编写的操作EEPROM来控制iic的读写操作,很方便-Use VHDL to prepare the operation to control the IIC EEPROM read and write operation, it is convenient
Platform: | Size: 985088 | Author: 熊云川 | Hits:

[VHDL-FPGA-VerilogEEPROM_RD_WR

Description: 本程序包含:EEPROM的功能模型(eeprom.v)、读/写EEPROM的verilog HDL 行为模块(eeprom_wr.v)、信号产生模块(signal.v)和顶层模块(top.v) ,这样可以有一个完整的EEPROM的控制模块和测试文件,本文件通过测试。-This procedure includes: EEPROM of the functional model (eeprom.v), read/write EEPROM acts of verilog HDL modules (eeprom_wr.v), signal generator module (signal.v) and top-level module (top.v), this can have a EEPROM complete control module and test document, this document is to pass the test.
Platform: | Size: 109568 | Author: | Hits:

[Embeded-SCM Developaltera

Description: USB-Blaster EEPROM的程序!-USB-Blaster EEPROM process!
Platform: | Size: 1024 | Author: | Hits:

[Communication-Mobilei2c

Description: i2c 一个基于 对 EEPROM 读写数据的数据接口-i2c interface
Platform: | Size: 19456 | Author: linhai | Hits:

[source in ebook61EDA_D1037

Description: 实现IIC协议,非常适合初学FPGA者,是很好的参考代码。-EEPROM
Platform: | Size: 526336 | Author: 姜虹 | Hits:

[VHDL-FPGA-Veriloge2prwctrl

Description: EEPROM芯片读写控制器的VHDL语音程序设计-EEPROM chip to read and write controller VHDL Voice program design
Platform: | Size: 1024 | Author: lalo | Hits:

[VHDL-FPGA-Verilogeeprom

Description: EEPROM模块源代码,希望对大家有用,方便交流-EEPROM model
Platform: | Size: 2048 | Author: zhao | Hits:

[assembly languageEEPROM

Description: EEPROM Controller for IS93C-EEPROM Controller for IS93C66
Platform: | Size: 1024 | Author: 蔡昭毅 | Hits:

[VHDL-FPGA-Verilogeeprom

Description: 夏宇闻书中例子eeprom,还可以 eeprom-XIA Wen book example eeprom, you can eeprom
Platform: | Size: 5120 | Author: tongjiajia | Hits:

[VHDL-FPGA-Verilogi2c-eeprom-code

Description: inter integrated circuit eeprom
Platform: | Size: 100352 | Author: Ramanathan.SP. | Hits:

[SCMI2C_databus

Description: I2C总线是一种非常常用的串行总线,它操作简便,占用接口少。本程序介绍操作一个I2C总线接口的EEPROM AT24C02-I2C-bus is a very popular serial bus, it is simple, taking less interfaces. This procedure describes operation of a I2C bus interface EEPROM AT24C02
Platform: | Size: 477184 | Author: | Hits:

[VHDL-FPGA-Verilogeeprom-model

Description: 基于fpga的eeprom设计,适合用于eeprom的仿真-eeprom model based on FPGA
Platform: | Size: 590848 | Author: | Hits:

[VHDL-FPGA-VerilogEEPROMVerilog-HDL

Description: EEPROM的Verilog HDL源代码,代码全-EEPROM of the Verilog HDL source code, code all. . . . . . . .
Platform: | Size: 525312 | Author: tangtso | Hits:

[VHDL-FPGA-Verilogeeprom

Description: VERILOG实际例程,非常适合初学者学习-VERILOG the actual routine, ideal for beginners to learn
Platform: | Size: 521216 | Author: 王林 | Hits:
« 12 3 »

CodeBus www.codebus.net